代工三巨头动作频频,芯片产能格局未来将会有怎样的调整?

芯圈那些事 2022-12-02
8604 字丨阅读本文需 19 分钟

去年,受疫情期间智能手机、汽车和游戏机的需求拉升,半导体板块一路飙升,虽然今年以来半导体类股遭遇重创,但摩根士丹利分析团队指出,随着晶圆代工竞争格局的不断演变,台积电、三星和英特尔这三大巨头依然值得关注。

7月25日,三星电子生产的全球首批3纳米芯片产品出厂,这是自上月末开始批量生产以来,三星电子首次向客户交货。

三星电子在韩国京畿道华城厂区极紫外光刻(EUV)专用V1生产线举行了适用新一代全环绕栅极(GAA)技术的3纳米芯片产品出厂纪念活动。三星电子上月30日宣布全球首款基于GAA技术的3纳米工艺半导体产品投入量产。

其计划将GAA工艺的3纳米芯片应用于高性能计算(HPC),并与主要客户公司合作,扩大到移动系统芯片(SoC)等多种产品群。另外,继华城厂区之后,三星电子平泽厂区也将扩大GAA工艺3纳米芯片产品的生产。

不久前,华尔街见闻稍早文章还提及,三星正考虑未来二十年在美国得克萨斯州建立11家芯片工厂,投资总额可能接近2000亿美元,并创造超过1万个就业机会。

“芯片三国杀”中的英特尔也不甘示弱,英特尔与联发科宣布建立战略伙伴关系,英特尔将通过其晶圆代工事业部(IFS)向联发科供应芯片。

声明显示,英特尔将为联发科生产一系列设备所需的芯片。联发科的芯片被用于亚马逊Echo音箱以及Peloton Interactive Inc.自行车等众多设备,现在将依靠英特尔的全球制造业足迹来更接近美国和欧洲的市场。

英特尔晶圆代工事业部总裁Randhir Thakur称,作为无晶圆厂晶片设计公司之一,联发科每年驱动超过20亿台智能终端装置,是该部门在进入下一发展阶段时的绝佳合作伙伴;而英特尔拥有先进制程技术与位于不同区域的产能资源,可协助联发科交付下波10亿个跨多元应用的连网装置。

联发科称,与英特尔在5G数据卡合作后,将着眼快速增长的全球智能设备,进一步与英特尔展开成熟制程晶圆制造上的合作。联发科还强调,公司采取多元供应商策略,与英特尔的合作将有助于提升公司成熟工艺的产能供给,高端工艺则会持续与台积电维持紧密伙伴关系,没有任何改变。

无疑,此次合作对于英特尔在芯片代工领域与台积电以及韩国三星电子的竞争来说是一项重大成就。英特尔首席执行官Pat Gelsinger已将芯片代工作为重振芯片业务的一部分,另外还包括将现有芯片工厂现代化以及建设新工厂。

不过值得注意的是,本月英特尔已通知客户称2022年下半年将对半导体产品涨价。原因是受全球通货膨胀影响,成本上涨。正如华尔街见闻•见智研究所分析的,一方面是成本传导,另一方是英特尔在CPU市场极强话语权以及服务器等领域对芯片仍有较高的需求,才使得公司具有涨价的底气。

当然,台积电也没有闲着,据媒体报道称,台积电(TSMC)和台联电(UMC)等中国台湾地区半导体制造商将赴印度考察,将就电子产品、通信设备、医疗保健系统和汽车行业的芯片制造进行讨论,包括与当地企业合作的可能性。不过,上述考察日期还未确定,预计将在未来几周抵印。

今年6月,印度政府官员古Gourangalal Das表示,印度将斥资300亿美元全面改革科技行业,并建立芯片供应链。当时Das表示,这一投资计划旨在增加当地生产半导体、显示器、先进化学品、网络和电信设备以及电池和电子产品的能力。在印度方面公布“与生产挂钩的激励”(PLI)计划后,中国台湾地区的半导体制造商正在就此进行讨论。

晶圆代工明年展望分歧

半导体产业今年销售金额可望再成长逾1成,晶圆代工需求强劲,产能持续供不应求,争取产能仍是各家IC设计厂营运重点,随着新增产能陆续开出,明年展望则趋于分歧。

在征集包括台积电在内的全球150家半导体业者资讯与意见后,美国商务部1月底公布半导体供应链风险调查报告。报告指出,芯片持有库存中位数自2019年的40天下降到2021年的不足5天,且芯片供应不足现象在未来6个月内不会消失。

对于芯片库存不到5天,台经院产经资料库研究员暨总监刘佩真表示,美国商务部调查的时间点是在去年11月,当时半导体供需比较紧俏,事过3个月,吃紧情况应该会稍微舒缓一些,不过部分芯片还没达到平衡状态。

刘佩真说,未来6个月内芯片供应不足现象不会消失的预期合理。半导体制造厂大量投入资本支出及扩产动作,新增产能要到明年才会比较明显开出,如果需求维持高档不坠,今年供给还是会比较吃紧。

包括瑞昱、盛群、谱瑞-KY及新唐等多家IC设计厂皆表示,晶圆代工产能持续供不应求,争取产能仍是当前营运重点。

刘佩真说,目前产业景气能见度还是高,只是产品价格涨幅去年基期比较高,随着部分芯片逐步趋于供需平衡,今年产品价格涨幅应不会像去年那么高。

刘佩真预期,今年全球半导体产业营收可望维持成长趋势,续创史上新高,只是成长可能趋缓。她表示,能在去年那么高的基期之下,还能持续成长,已是不容易。

据研调机构IC Insights预期,全球半导体产业销售金额继2020年成长11%及2021年成长25%后,2022年可望再成长11%,将是1993年至1995年之后,首度连续3年销售金额成长2位数百分比。

对于明年市况,各界展望趋于分歧,部分法人预期,随着晶圆代工厂新增产能陆续开出,晶圆代工产能吃紧情况可能出现转变;尤其28纳米制程是各家晶圆代工厂扩产的重点,未来竞争态势可能相对剧烈。

刘佩真表示,未来随着新产能逐步开出,供需缺口会慢慢缩减,半导体产业景气难免会有波动,不过半导体结构性需求持续增长,数字转型、新兴科技领域对半导体需求将不断成长,预期未来半导体产业将比较难出现产品价格崩跌的状况,景气出现大幅度衰退的机会也不大。

当前,随着市场波动,各晶圆代工厂面临着怎样的起伏?产能格局未来将会有怎样的调整?供需关系反转后,晶圆代工市场将如何变化?在这场晶圆代工行业的反击和保卫战中,代工三巨头动作频频。

英特尔:转型路漫漫

英特尔的转型已经进行了多年。

从14nm到10nm的艰难量产,英特尔深知自身在制程工艺上的落后和“牙膏厂”的品牌形象,所有人也都明白英特尔需要一个变革。

开启IDM 2.0战略

2021年初,这场漫长的转型迎来了一个重大的转折点——曾担任英特尔CTO的半导体行业老兵帕特•基辛格(Pat Gelsinger)被任命为英特尔CEO。

上任后不久,帕特•基辛格宣布了“IDM 2.0”战略,在该战略中,英特尔对外开放自己的代工服务,同时扩大采用第三方代工产能。

英特尔此前一直是IDM模式,完整覆盖了芯片从设计到生产再到销售的全过程,产品绝大部分也都是在内部工厂制造。在IDM 2.0模式下,英特尔不仅要委托外部芯片代工厂生产自己的芯片,比如预定了台积电3nm的产能;与此同时,英特尔也要发展自己的芯片代工业务,成立英特尔代工服务IFS业务,重返芯片代工行业。

英特尔的逻辑是,IFS将在服务芯片客户的过程中变得更强大更好,而随着IFS在芯片制造上越来越先进,生产的芯片产品也会更有竞争力,包括自己内部制造的芯片,反过来又保证IFS不会受限于外部代工产能,以此形成正向循环。用基辛格在采访中的话来说就是:“IDM使IFS更好,IFS使IDM更好。”

但矛盾之处也很明显,英特尔既要为自己生产芯片,又要寻求为其他芯片领域的竞争对手如AMD和英伟达提供芯片代工服务;同样的,英特尔想在芯片代工业务上追赶台积电和三星,但又要将自己的最好的芯片产品交由对手来生产,等于在降低自身芯片制造规模的同时,还将一部分利润让给了台积电等竞争对手。

不过,英特尔也确实找到了撬动IDM 2.0计划的支点,即台积电和三星无法满足所有客户的需求。或者说,在当前市场环境下,多元化的代工战略成为很多芯片设计厂商的选择。

今年3月,英伟达CEO黄仁勋谈到:“英特尔有意让我们使用他们的制造工厂,而我们对探索这种可能性也非常感兴趣。”

7月,还没等到英伟达的动静,英特尔率先宣布将为联发科代工芯片。联发科表示,我们一直采用多源战略,除了与台积电在先进制程节点上保持密切合作外,此次合作将加强我们对成熟制程节点的供应。

此外,苹果最核心的芯片生产也是主要由台积电代工,但苹果CEO库克近期表示要从美国本土采购芯片。

尽管台积电是芯片代工领域的绝对领导者,掌握着更大的话语权,但英特尔的加入实实在在为芯片企业带来了新的选择。去年7月,英特尔就宣布将为高通生产芯片,亚马逊在此前也成为了其代工业务的客户。

同时,补贴将推动建厂的步伐,而这对于英特尔的代工业务而言将是一个积极的信号,逐渐凭借先进制程与台积电、三星展开正面竞争。

今年8月,美国颁布的《芯片法案》推动英特尔启动了在美建厂计划;另外,欧盟也拿出了430亿欧元的《欧洲芯片法案》以支持欧洲的芯片产业,英特尔宣布将在欧洲投资建立六大造芯基地,计划十年投入800亿欧元。

IDM 2.0战略转型

在今年9月举行的英特尔On技术创新峰会上,基辛格表示英特尔代工服务将开创“系统级代工的时代”,不同于仅向客户供应晶圆的传统代工模式,英特尔提供晶圆制造、封装、软件和芯粒”。

晶圆制造:向客户提供其制程技术,如RibbonFET晶体管和PowerVia供电技术等创新。

封装:为客户提供先进封装技术,如EMIB和Foveros。

芯粒:英特尔的封装技术与通用芯粒高速互连开放规范(UCIe)将帮助来自不同供应商,或用不同制程技术生产的芯粒更好地协同工作。

软件:英特尔的开源软件工具,包括OpenVINO和oneAPI,加速了产品的交付,使客户能够在生产前测试解决方案。

这标志着从系统级芯片到系统级封装的范式转移,也是英特尔为了更加开放自身代工服务的一个体现。

除了以“系统级代工”来加固自己的代工堡垒之外。英特尔还计划在其芯片设计和制造之间建立更大的决策分离,旨在让生产线像Fab业务一样运作,将来自英特尔内部和外部芯片公司的订单一视同仁。

这个决定被称为“英特尔IDM 2.0战略的新阶段”,这背后的逻辑在于英特尔想将自身芯片设计与制造进行解耦。

英特尔的芯片设计与制造部门长期以来是高度绑定的关系,这在提高灵活性的同时也造成了一个问题,就是整个设计生产全是走的“内部流程”。也就是说由于流程上的差异,英特尔依据“内部流程”设计的芯片不容易找到其它代工厂制造。而其它Fabless设计的芯片想要找英特尔代工制造,也需要时间去适应英特尔的“内部流程”,也比较困难。

基辛格提出的IDM 2.0转型,实际是在原有英特尔IDM模式下解绑芯片设计和芯片制造能力,最终目的也是让英特尔实现更好的芯片设计和芯片制造能力,同时建立一个属于自己的芯片代工生态。

新的结构旨在让英特尔的芯片代工业务像其他第三方圆晶代工厂一样运作,在平等的基础上接受英特尔内部和外部芯片公司的订单。

基辛格明白英特尔问题症结所在,过去几十年引以为傲的IDM模式已经不再适应今天这个台积电当道的时代,但完全放弃自身优势沿着对手的轨道发展,同样无法让英特尔重回时代潮头。基辛格希望通过IDM 2.0转型解绑英特尔的芯片设计和芯片制造能力,重新形成一股合力推动英特尔成为新的技术领导者。

英特尔的底气与失意

战略转型之外,英特尔在流程路线图和产能方面也取得了进展,英特尔制定了加速工艺发展的计划,推翻了传统的芯片命名方式,制定了到2025年的详细发展路线,将推进Intel 7、Intel 4、Intel 3、Intel 20A、Intel 18A五个流程节点。

理论上,Intel 20A是和台积电2nm对标的工艺,一旦英特尔成功突破Intel 20A量产,或有能力与台积电2nm一较高下。更先进的Intel 18A就是2nm以下的布局了。

不难感觉到,英特尔的目标十分明确,试图在芯片代工行业与台积电,三星形成三足鼎立格局,在高端芯片市场占据一席之地。

英特尔在2022年第三季度财报中,透露其已经签订了全球TOP10半导体设计厂商中的7家。

上述种种,都是支撑英特尔有信心喊出2030年成为全球第二大圆晶代工厂的理由和底气所在。

然而,豪言壮语还没来得及咀嚼,仅仅过去半个月,英特尔芯片代工服务总裁迪尔•塔库尔(Randhir Thakur)就被爆出将在明年第一季度离职的消息。

作为IDM 2.0的核心一环,基辛格对IFS以及塔库尔自然是寄予厚望,事实上在塔库尔治下IFS也确实相继拿下了包括亚马逊、高通、联发科等芯片客户。基辛格称赞其建立了一个由台积电和三星等领先代工厂资深员工组成,且经验丰富的领导团队,并在移动和汽车领域赢得了主要客户。

但创业未半,塔库尔还是辞职了,这位领导人的离开或许暴露了英特尔的内部阻力可能超过外界想象。又或许这会是基辛格进一步解耦芯片设计和芯片制造团队的关键。

三星电子:10年内超越台积电

虽然三星和英特尔都是IDM厂商,但两者的情况还不太一样。

相较于英特尔芯片设计与制造部门高度绑定的关系,三星的芯片设计与制造业务相对独立,比如英伟达和高通很多芯片产品都是由三星代工制造的。

由于三星和台积电在代工服务方面的“开放性”,使得像英伟达这样的Fabless厂商可以在其中“左右横跳”,相对自由地选择代工厂商。

2030年超越台积电

英特尔计划2030年超越三星代工业务,而三星也放言2030年超越台积电。

其实早在2019年三星就定下来未来10年内超越台积电的目标。为了实现这一目标,三星大力投资、招聘人才,除了先进制程持续加码之外,半导体设备和材料、IC载板、先进封装等一切与晶圆代工有关的领域,都成为了其瞄准的焦点。

近段时间来,三星同样动作频频,不仅宣称将扩大部分非存储芯片如CIS、DDIC等委外代工,并将扩大传统和特色工艺产能,而且还豪言2027年晶圆代工客户将增至2019年的5倍。此外,在先进工艺层面更是步步为营。

种种举动在显现出三星大张旗鼓的雄心之外,一个贯穿其中的信号仍在印证代工业的制胜之道:产能、客户与先进工艺。

Gartner认为,三星动作显然是深思熟虑之举,大多数CIS和DDIC产品大都在40nm及以上节点制造,竞争优势并不突出。再加上当前CIS和DDIC市场需求减弱,整体规模有所放缓。因此,无论是EDA还是设备、材料的采购,还是维护费用都面临动态变化。从成本来看,如果自产成本较高,则委外选择成本控制到位的代工厂不失为一个选择。

三星考虑将旗下更多成熟制程芯片委外代工,除了已有的晶圆代工伙伴联电之外,还会新增世界先进和力积电为其代工芯片。

实际上,三星在发展过程中有多年的外包业务,这一战略为其带来了重新配置资源和工厂产能的机会,实现更多的产能释放。在产能调配下,三星代工可以承接更多高利率的订单,尤其成熟制程设备多已摊提完毕,因此产品组合调配上可以更有弹性。

在产能调整之际,三星意在扩大传统和特色工艺的消息也在同步发酵。

其中,发展特色工艺已经为业界共识,在先进工艺越来越曲高和寡的当下,特色工艺正成为晶圆代工行业的新动力。

据了解,全球从事特色工艺的玩家众多,大体可划分为三类:一是从事模拟、MCU、功率半导体的IDM;二是以特色工艺为主的晶圆代工厂;三是主攻先进工艺也兼顾特色工艺的晶圆代工厂。

三星作为后者,野心不小。据悉,三星电子半导体代工事业部计划到2024年将传统和特色工艺的数量增加10个以上。到2027年,三星电子的传统和特色工艺产能将达到2018年的2.3倍。

先进工艺步步为营

将成熟制程外包、发力特色工艺之外,三星在先进制程上的扩产和投入最为瞩目,以在下一个技术点到来之际占据先机。

作为目前全球唯二可以制造5nm以下的晶圆厂,三星代工的成就虽然不容小觑。但相比台积电总是棋差一招,三星7nm量产之后,台积电宣布5nm量产,三星5nm量产之后,台积电又宣布4nm试产,总是跟不上台积电的步伐。

但三星在更先进的节点上看到了追赶的机会,三星3nm芯片率先采用GAA工艺,且领先台积电量产,成为全球首个量产3nm的代工厂。乘胜追击,三星电子计划明年推出第二代3nm工艺,并更进一步放言计划到2025年达到2nm,到2027年达到1.4nm。

对于这一进击的目标,Isaiah Research认为,三星的计划是有可能的,只是届时量产的规模跟良率多寡都需要持续关注。

如果说三星在先进工艺和特色工艺“左右开弓”的话,那么争取尽可能多的客户才能“左右逢源”。三星曾豪言2027年晶圆代工客户将增至2019年的5倍。

据Gartner分析,三星的三家美国大客户的业务在2021年增加了一倍以上。2022年虽有高通和英伟达转单的“变故”,但总体“基本盘”向好。三星代工部门副总裁Moon-sooKang在2022年第一季度的商务电话会议上证实,三星已经有未来五年的订单。他指出,这些订单是三星去年代工销售额的8倍。

还值得关注的动向是高通宣称,未来3nm、4nmAP由台积电代工,但进入GAA制程后有可能采取同步下单三星和台积电等多家代工厂的多供应商策略,这意味着台积电将不再“独享”高通的先进工艺订单,三星或凭借3nm率先采用GAA的优势获得更多“回头客”。

Isaiah Research认为,三星如要达到2027年客户规模增至5倍的目标,一是需要持续扩产,二是要提高先进制程良率,这才能拓及更多潜在客户,并且增加既有客户的黏着度。

产能方面,三星预计到2027年代工产能将比2022年增加3.3倍。这也就意味着需要建设更多的工厂。据外媒报道,三星电子晶圆代工业务部门总裁Choi Si-young透露,公司目前在韩国和美国运营有5座工厂,而且已经确定选址将再建超过10座工厂;

而良率对于三星来说,一直是要努力越过的“拦路虎”。据了解,三星4nm的良率从今年初35%持续往上走,但目前提升到多少仍未知,相较台积电4nm的70%良率指标,且有大客户苹果、高通、AMD“站台”,这一差距仍是存在的。而且目前三星的先进制程客户群多为中小客户,从产能角度如何竞争大客户的青睐仍待努力。

Gartner也认为,在相关软硬件资源就绪的情况下,三星要克服当前3nm平台的类似挑战,包括良率和客户等等,未来1.4nm平台如何保留新客户和成熟客户,以及与台积电和英特尔的竞争走向均是变动的X因素。

为大力推进其代工业务,三星多路并进,随着全球制造业回流导致供应链的多样化,未来几年亦将引发重构。能否尽力抓住时间窗口,在四面进击之后实现“十年夙愿”,还留待行动和时间来证明。

台积电:无惧三星、英特尔追赶

一边是英特尔高管辞职、三星产能调配,另一边是台积电的持续加码。

目前台积电斥资120亿美元在美国亚利桑那州兴建的12英寸5nm制程晶圆厂即将完成土建,预计将于12月举行首批机台设备进厂典礼,近期已有大批在中国台湾接受培训的美国工程师陆续返回美国。

11月21日,台积电创始人张忠谋证实了会在美国建3nm工厂的消息。不过张忠谋并未透露美国3nm晶圆厂建厂计划的投资规模,以及会在何时启动。

但据业内人士爆料称,该3nm工厂产能也将为2万片,目前正开始安排人力规划,预计投资规模也将达到120亿美元。这也将是台积电在美国的第三座晶圆厂。除了在建的亚利桑那州晶圆厂之外,台积电在美国华盛顿州的Camas还有一座晶圆十一厂,不过这里仅生产8英寸晶圆,主要面向28nm以上成熟制程。

为何持续在美国建先进制程晶圆厂?

2019年在美国政府持续推动制造业回流美国的背景之下,台积电宣布了投资120亿美元在亚利桑那州建5nm晶圆厂的计划。

但是对于台积电来说,显然在美国制造芯片的成本要更高,这并不是从商业成本考虑的决策。张忠谋曾表示,实际在美国制造芯片的成本比台湾贵50%。台积电在美国建5nm晶圆厂是在美国政府的“敦促”下做的决定。

虽然美国推出的《芯片法案》刺激了不少半导体投资,但张忠谋认为,这个补贴金额远低于提振本土芯片制造所需金额。虽然美国的芯片产量会增加,但是,单位成本将增加,美国很难在国际上竞争。

那么,为什么台积电在美国亚利桑那州建设5nm晶圆厂之后,还计划再建3nm晶圆厂呢?

在当前市场趋势和贸易关系下,美国客户在台积电营收当中的总体占比正在持续提升,这也是促使台积电赴美建先进制程晶圆厂的一大因素。

据Digitimes统计显示,2021年美国是台积电最大的销售市场,同比增长24%,营收占比为64%。其中,苹果一家占据了台积电超过1/4的营收。

同时,据台湾媒体报道,“特斯拉将4nm和5nm工艺产品委托给台积电”。如果属实,特斯拉将进入台积电前7大客户公司,进一步提升美企占比份额。

此外,台积电赴美建先进制程晶圆厂,也在一定程度上顺应了美国客户的供应来源地分散化的供应链安全需求。

据彭博社报道显示,苹果计划未来将从美国亚利桑那州一座还在建设当中的晶圆厂采购芯片(这座工厂将于2024年启用),以降低对亚洲供应链的依赖。而苹果所指的在建当中的晶圆厂外界普遍认为就是台积电的亚利桑那州晶圆厂。

一些列因素推动下,促使台积电在美国再建先进工艺晶圆厂。

另一方面,由于台积电在芯片制造领域占据主导地位,随着其最新的3nm制程工艺的制造成本的上升,台积电也将大幅提高3nm晶圆的价格。

据最新曝光的台积电晶圆定价图表显示,台积电7nm晶圆代工定价是10000美元,到5nm已经上升到了16000 美元,涨幅高达60%。随着台积电3nm制造成本的上升,Digitimes预计晶圆代工定价将超过20000美元,相比5nm上涨了25%,这意味着下一代3nm的CPU和GPU将更加昂贵。

然而,造成这种局面的关键主要是两方面原因:一方面是随着制程工艺的提升,对于半导体设备和材料的要求也就越苛刻,直接导致了制造成本的上升;另一方面,目前能够提供尖端晶圆代工服务的供应商仅有台积电和三星,其中台积电一家独占了大部分的市场份额。这种近乎垄断的局面也造成了每一代尖端晶圆代工价格毫无阻力地暴涨。

后者也是当前IC设计企业多源代工战略的主要因素之一。

当前,各大厂都积极布局更先进的制程投资,台积电总裁魏哲家多次释出台积3nm今年在台湾量产、2nm 2025年量产且保持领先优势。但没有公布2nm以下更先进制程量产时间表。

台积电在先进制程领域相对顺利。有消息称,尽管如今还未量产3nm工艺,台积电3nm良率已达80%,其最大的客户苹果,已经提前预定其M3芯片采用台积电3nm制程。甚至有消息称,台积电2nm的风险试产良率也已超过了90%,苹果和英特尔等巨头企业,也将作为台积电2nm的首批客户。

近日,据中国台湾地区行政院副院长沈荣津透露,台积电1nm厂将设在龙潭,北起桃园龙潭,经过新竹、台中、台南、高雄,这样整个半导体聚落就可以完整串联起来,让台湾西部拥有一个完整个半导体科技廊带,预估未来台积电1nm厂也能为龙潭当地带来上万个年薪百万的工程师就业机会。

面对英特尔和三星的追赶,有业内人士表示,台积电的优势已经建立,且这一优势建立在顶尖制程上。台积电2021年的财报显示,5nm芯片的出货量占据了其总营收的20%,7nm占据了30%。这代表先进制程几乎占了台积电一半的营收,这个比例是很可怕的。这意味着台积电在先进制程上与对手的优势不但很难缩小,而且可能进一步拉大。

写在最后

随着先进制程的持续演进,台积电、三星、英特尔三大芯片巨头将迎来新的对决。

目前台积电2nm厂二期扩建计划用地已经敲定,计划于今年三季度动工;三星则在今年7月宣布已开始初步生产采用GAA架构的3nm工艺芯片,而2nm工艺的量产时间也同样定在2025年;英特尔方面此前则承诺到2025年重新获得芯片制造技术的领先地位,并将投产2nm的时间目标定在2024年。

英特尔的快速追赶和加入,正在改变目前晶圆代工行业“双雄争霸”的竞争格局。

但是,对于英特尔发起的挑战,芯片市场的反馈往往需要一个较长周期,而在英特尔此前在10nm及7nm上被台积电、三星拉开差距后,寻回客户的信任也需要较长时间,因此追赶之路或将是一个较长的过程。

而三星在先进制程方面也频频陷入良率的泥沼,亟待得到改善。

业内资深专家强调,台积电也并没有成为代工市场绝对的赢家,因为绝大部分晶圆代工厂商已经完全告别了先进制程的竞赛,使得诸多客户只能在台积电、三星和英特尔之间进行选择,而台积电一家的产能,纵然难以维持庞大的先进制程市场。因此,哪怕三星和英特尔的芯片会陷入性能“滑铁卢”的风险,也依旧会有大批厂商在产能和价格因素的驱动下,愿意去“尝尝螃蟹”。

未来先进工艺芯片之争将主要在台积电、三星和英特尔之间展开,代工三巨头的拉锯战也将成为推动摩尔定力继续前行的动力,推动下一个“弯道”的到来。

每一个车手都明白弯道代表着太多可能,当一个时代开始转弯,领先者可能会落后,落后者可能会超越。

文章来源: 华尔街见闻,半导体行业观察,半导体芯闻

免责声明:凡注明来源本网的所有作品,均为本网合法拥有版权或有权使用的作品,欢迎转载,注明出处本网。非本网作品均来自其他媒体,转载目的在于传递更多信息,并不代表本网赞同其观点和对其真实性负责。如您发现有任何侵权内容,请依照下方联系方式进行沟通,我们将第一时间进行处理。

0赞 好资讯,需要你的鼓励
来自:芯圈那些事
0

参与评论

登录后参与讨论 0/1000

为你推荐

加载中...