英特尔发布半导体技术路线规划,剑指1.8纳米,谁能坐稳后摩尔时代工艺制程王座?

芯圈那些事 2022-12-08
3153 字丨阅读本文需 8 分钟

据国外媒消息,英特尔副总裁兼技术开发负责人Ann Kelleher近日表示,英特尔公司正在实现重新获得半导体制造领导地位这一目标。

英特尔目前在大规模生产7nm芯片的同时,还做好了生产4nm芯片的准备,并将在2023年下半年准备生产3nm芯片。

Kelleher表示:“英特尔按季度制定的里程碑显示,我们处于领先地位或步入正轨。”她说,英特尔目前正在量产7nm芯片,另外已准备好开始制造4nm芯片,并将准备在明年下半年转向3nm。

在IEDM会议上,英特尔分享了它的工艺技术路线图和它对未来三到四年内将出现的芯片设计的设想。正如预期的那样,英特尔的下一代制造工艺--英特尔4和英特尔3--有望在2023年和2024年分别用于大批量制造(HVM)。此外,该公司的20A和18A生产节点将在2024年为HVM做好准备,这意味着18A将提前上市,IEEE Spectrum发布的一张幻灯片表明。

1、英特尔4准备就绪,英特尔3将于2023年下半年推出

明年,英特尔将发布代号为Meteor Lake CPU的第14代酷睿,这是其首个采用多芯片(或多瓦)设计的大众市场客户处理器,每个芯片组都将使用不同的工艺技术制造。英特尔的Meteor Lake产品将包括四块芯片:使用英特尔4号工艺技术(又称7纳米EUV)制造的计算芯片(CPU内核)、台积电可能使用其N3或N5节点生产的图形芯片、SoC芯片和I/O芯片。此外,这些瓦片将使用英特尔的Foveros 3D技术进行互连。

Meteor Lake的计算瓦片可以说是软件包中最令人兴奋的部分,因为它将在英特尔4(以前称为7纳米)上制造,这是该公司第一个将使用极紫外(EUV)光刻的生产节点。据英特尔称,这种制造工艺已经准备好进行大规模生产,尽管它将在几个月后才被部署到Meteor Lake的计算芯片的HVM上。考虑到英特尔在2021年10月对该计算芯片进行了供电,该节点到现在已经准备好进行生产,这并不令人惊讶。有点出乎意料的是,英特尔没有确认这种工艺技术是用来制造Ponte Vecchio的Xe-HPC计算GPU瓦片的,正如两年前种植的那样。

英特尔将在台积电近四年后开始使用EUV,台积电在2019年第二季度开始在其N7+节点上生产芯片。英特尔需要确保其4纳米级节点的性能达到预期,并提供良好的产量,因为这将是该公司相当不幸的10纳米工艺系列之后的第一个节点,该工艺在其生命周期的早期没有达到预期的性能,其成本高于该公司几年前的期望。

由于英特尔必须追赶其竞争对手三星晶圆厂和台积电,其英特尔4工艺技术将在2023年~2024年加入其英特尔3制造节点(3纳米级)。根据英特尔分享的数据,这种工艺将在2023年下半年具备制造条件。它将用于制造英特尔代号为Granite Rapids和Sierra Forest的处理器,这是该公司备受瞩目的产品。Sierra Forest预计将成为该公司第一个使用节能内核的数据中心CPU,并将与各种基于Arm的高内核产品竞争。

英特尔已经要在Xeon'Granite Rapids'样品上下功夫了,所以看起来CPU的设计已经准备好了,而节点本身也在HVM 2024的轨道上。

"'花岗岩急流'的第一步已经出炉,产量不错,英特尔3号继续按计划进展,"英特尔首席执行官Pat Gelsinger在最近的收益电话会议上说。"Emerald Rapids显示出良好的进展,正在按计划完成2023年的任务,Granite Rapids非常健康地在许多配置中运行多个操作系统,加上Sierra Forest,我们的第一个E-core产品提供世界一流的每瓦特性能,都在2024年稳固地进行。"

2、英特尔的18A被移到了2024年下半年

追赶台积电和三星是很重要的,但要恢复其工艺技术的领先地位,英特尔将不得不跨越这两个对手的步伐。这将在2024年的某个时候发生,届时该公司将公布其20A(20埃,或2纳米)节点,该节点将使用其门控全方位晶体管品牌RibbonFET,以及称为PowerVia的背面电源传输。英特尔预计其20A节点将在2024年上半年做好生产准备;它将用于制造--除其他外--2024年该公司用于客户端PC的代号为Arrow Lake的处理器的小芯片。

英特尔的20A将是业界第一个2纳米级节点,它还将广泛使用EUV来最大限度地提高晶体管密度,提供体面的性能改进,并降低功耗。2024年,它将与台积电为提高晶体管密度和性能而设计的第三代3纳米级(N3S、N3P)工艺技术竞争。这三个节点如何相互叠加,还有待观察。不过,英特尔为其20A工艺设定了很高的标准,因为它同时引入了两项重大创新(GAA、BPD)。

然而,20A并不是英特尔计划在2025年底开始使用的最先进的工艺技术。该公司还在准备其18A(18埃,1.8纳米)生产节点,有望为英特尔及其英特尔代工服务客户进一步提高PPA(性能、功率、面积)优势。

对于18A,英特尔最初计划使用具有0.55数值孔径(NA)光学器件的EUV工具,这将提供8纳米的分辨率(低于目前使用的具有0.33 NA的EUV工具的13纳米)。但ASML生产的高NA EUV设备将在2025年才准备好,而英特尔的目标是其18A在2025年下半年准备生产,领先于其竞争对手。

由于使用当前一代的EUV工具有可能使3nm后的节点达到8nm的分辨率,并采用多图案技术(尽管这将延长生产周期,并有可能影响产量),英特尔愿意为18A承担一些额外的风险,并使用ASML的Twinscan NXE:3600D或NXE:3800E来制造这一节点的芯片,因为它认为这将为它带来无可争议的市场领先地位。

事实证明,第一批20A和18A的测试芯片已经被录制出来了。

3、谁能坐稳后摩尔时代工艺制程王座?

英特尔CEO基辛格曾表示要重回生产技术的领导地位,“重回领导地位”的前提条件是,英特尔曾经长期处于领导地位。以及此处我们所谈及的生产技术,具体是指半导体尖端制造工艺。

回溯英特尔早期工艺,在14nm之前,英特尔始终推动着业界的主要制程工艺创新,并始终保持着比别家fab和foundry厂领先几年的技术水平。比如在90nm时代,英特尔针对应力增强引入eSiGe(嵌入硅锗),为业界首位;2005年英特尔首度采用HKMG(high-k metal gate,高介电常数金属栅),比别家提前了5年以上;2011年英特尔22nm工艺首次引入FinFET结构晶体管......

在14nm以后,英特尔遭遇技术瓶颈,首先是原本计划的Fab 14工厂升级工艺被取消,而后10nm屡屡受挫,7nm变得遥遥无期。直至今年,英特尔在IEEE VLSI会议上公开了自己首个7nm工艺。

在英特尔先进工艺陷入停摆的这段时间,台积电和三星一路高歌猛进,台积电自7nm工艺后则稳坐先进节点王座。

2018年N7(7nm)工艺问世可作为台积电超过英特尔的拐点,也是这时,AMD Zen 2架构CPU开始采用台积电7nm工艺,并在最终出售的CPU产品上,首次实现对英特尔处理器的部分超越。此后台积电迅速在2020年推出6nm和5nm,并宣布在今年4季度量产3nm,以及计划于2024年下半年进入风险性试产的2nm节点。

向上追赶是常态,英特尔计划2030年超越三星代工业务,而三星也扬言2030年要超越台积电。今年7月,三星宣布量产3nm制程,并将率先采用GAA技术还计划2023年推出第二代3nm制程,并更进一步计划到2025年发展达到2nm制程,到2027年达到1.4nm制程。业界人士表示,三星的计划表还是有可能实现的,其目前的关键问题还在于产品良率。

据TrendForce集邦咨询研究显示,在今年第二季前十大晶圆代工产值中,台积电第二季营收为181.5亿美元,5/4nm营收季增约11.1%,是第二季营收表现最佳的制程节点,7/6nm制程节点营收季增2.8%。三星方面,7/6nm产能陆续转换至5/4nm制程,良率持续改善,带动第二季营收达55.9亿美元,季增4.9%。同时,首个采用GAA架构的3GAE制程于今年第二季底正式量产,首波客户为挖矿公司PanSemi。

当下,跌出神坛的英特尔计划通过在产业、人才、技术等各个方面的布局重回巅峰,聚焦在尖端制造工艺方面,英特尔的计划是4年要推进从Intel 7到Inetl 18A的5个主要工艺节点。

Intel 7已经在2021年量产,英特尔计划将于今年下半年量产(或做好量产准备)Intel 4(相当于7nm);在明年下半年量产(或做好量产准备)Intel 3;2024年上半年量产(或做好量产准备)Intel 20A;以及2024年下半年量产(或做好量产准备)Intel 18A。

业界人士表示,英特尔4年跨5个节点计划虽然有些夸张,但是值得注意的是,英特尔已经在一些方面充分吸取了此前的教训,并做了一些技术方面的更改。

早年英特尔严格地遵循着“摩尔定律”,毕竟摩尔定律就是英特尔的Gordan Moore提出的,在此期间,英特尔十分执着晶体管密度的阙值。公开资料显示,从英特尔公布的逻辑晶体管密度进化方向看22nm→14nm,英特尔达成了2.5倍晶体管密度提升;而14nm→10nm,英特尔的目标是2.7倍的晶体管密度提升。英特尔当年称其为Hyper Scaling超级缩放。这些值可谓是非常的客观的,毕竟台积电N7→N5 1.9x密度提升,N5→N3 1.6x密度提升已经是业界领先了。

同样的,业界有许多人士认为,英特尔10nm、7nm工艺难产的关键,就在英特尔对于晶体管密度的偏执上。英特尔在2021年对其工艺节点进行了改名操作,并推出了4年更新5代节点的设想,实际上都是对过去偏执于晶体管密度提升这一传统的抛弃。

从台积电、三星、英特尔的先进节点计划看,如果大家的工艺进程都按时推进的话,三家可能会在2024或2025年两年里推出的2nm工艺上正面交锋,届时又将发生什么样的变数呢?我们拭目以待!

文章来源: ZOL中关村在线,金十新媒体,全球半导体观察

免责声明:凡注明来源本网的所有作品,均为本网合法拥有版权或有权使用的作品,欢迎转载,注明出处本网。非本网作品均来自其他媒体,转载目的在于传递更多信息,并不代表本网赞同其观点和对其真实性负责。如您发现有任何侵权内容,请依照下方联系方式进行沟通,我们将第一时间进行处理。

0赞 好资讯,需要你的鼓励
来自:芯圈那些事
0

参与评论

登录后参与讨论 0/1000

为你推荐

加载中...