新思科技完整EDA流程率先获得三星4LPP工艺认证

美通社 2021-12-03

三星科技eda

1053 字丨阅读本文需 2 分钟

数字和定制设计平台配合高质量IP,可降低HPC、AI、5G和其他先进SoC在新工艺节点下的风险 ,加速客户采用

加利福尼亚州山景城2021年12月2日 /美通社/ --  

要点: 

新思科技Fusion Design Platform和Custom Design Platform率先获得三星晶圆厂(以下简称为“三星”)4LPP工艺认证。作为三星全面技术路线图的一部分,4LPP工艺旨在协助芯片厂商设计和交付速度更快、功耗更低的芯片

新思科技(Synopsys, Inc.,纳斯达克股票代码:SNPS)近日宣布,其完整的EDA流程已获得三星全新4LPP(4纳米低功耗+)工艺认证。4LPP工艺是三星独特FinFET技术的全新实施工艺,能够提升SoC芯片密度、性能和功耗,为当前高需求的应用(包括高性能计算、AI和5G基础设施)提供支持。

经三星4LPP工艺认证的新思科技解决方案包括完整的数字、模拟、混合信号实施以及签核流程。此外,新思科技与三星的合作还包括在三星多裸晶芯片集成(MDI™) 流程中采用新思科技3DIC Compiler解决方案,MDI流程已经在4LPP技术上得到了验证。3DIC Compiler是完整覆盖从初步规划到签核的3D解决方案,可处理包含数千亿晶体管的复杂性,并推动功耗、性能和面积(PPA)方面的优化。新思科技同时在开发面向4LPP工艺的DesignWare® 基础IP和接口IP的产品组合,为开发者在该工艺上开发的芯片提供低延迟、高带宽和低功耗解决方案。

三星电子晶圆厂设计技术团队副总裁Sangyun Kim表示:“三星=很高兴能与新思科技密切合作,为我们的4LPP工艺提供完整的EDA流程。在三星持续推进全新技术路线图(例如即将推出的3nm全环栅工艺)的过程中,新思科技是可信赖的理想合作伙伴,能够与我们携手前行,不断推动新工艺节点的演进和采用”

作为三星第一家通过SAFE-QEDA计划并获得4LPP工艺全流程认证的EDA合作伙伴,新思科技将致力于加速客户顺利采用新工艺,以协助其降低风险和成本并缩短周转时间。三星SAFE-QEDA计划旨在降低采用新工艺节点的风险。

新思科技芯片实现事业部总经理Shankar Krishnamoorthy表示:“我们与三星的密切合作将继续加速技术演进,以推动加速了高性能计算、AI加速器、AR/VR和其他流行应用领域的创新。获得三星4LPP工艺的认证,充分彰显了我们的解决方案可提供高水平的硅相关性和设计鲁棒性,帮助芯片开发者实现理想PPA,加速其芯片上市。”

经三星认证的新思科技数字设计解决方案建基于Fusion Design Platform™,该平台凭借单数据模型和机器学习能力,覆盖“从设计到制造”的整个芯片生命周期,可加速超融合创新设计的开发。经三星流程认证的解决方案包括: 

新思科技Fusion Compiler™ RTL-to-GDSII数字实施解决方案 

经三星认证的新思科技定制设计解决方案建基于Custom Design Platform,该平台包括PrimeSim™ Continuum模拟解决方案,为模拟和混合信号设计提供统一的设计和验证工具。PrimeSim Continuum解决方案包括PrimeSim HSPICE、PrimeSim SPICE、PrimeSim Pro和PrimeSim XA模拟器。经三星流程认证的的其他解决方案包括:

新思科技PrimeSim EMIR分析解决方案,用于晶体管级功耗签核 

新思科技正在为三星的4LPP工艺开发广泛的DesignWare IP产品组合,其中包括:

新思科技多协议32G PHY IP,包括PCI Express® 5.0和25G以太网

三星SAFE论坛2021 

新思科技总裁兼首席运营官Sassine Ghazi于2021年11月17日在三星SAFE™论坛上发表主题演讲,多位新思科技专家也在该论坛上进行多场技术演讲。


免责声明:凡注明来源本网的所有作品,均为本网合法拥有版权或有权使用的作品,欢迎转载,注明出处本网。非本网作品均来自其他媒体,转载目的在于传递更多信息,并不代表本网赞同其观点和对其真实性负责。如您发现有任何侵权内容,请依照下方联系方式进行沟通,我们将第一时间进行处理。

0赞 好资讯,需要你的鼓励
来自:美通社
0

参与评论

登录后参与讨论 0/1000

为你推荐

加载中...