OPPO自研芯片带来DSA技术的胜利,DSA先进光刻技术正在重回历史舞台?

电子放大镜 2021-12-16

光刻技术dsa芯片

3969 字丨阅读本文需 9 分钟

12月14日,OPPO迎来了2021年度INNO DAY未来科技大会。会上,OPPO宣布一加正式回归,并发布了新一代智能眼镜Air Glass,以及首款影像处理NPU芯片马里亚纳X。三年前,六年不曾公开露面的陈明永出现在首届OPPO未来科技大会上,宣布OPPO迈进研发“深水区”,三年后,OPPO自主设计、自主研发的第一颗芯片亮相。

作为首款专为影像而生的NPU芯片,马里亚纳X集成了自研的AI计算单元。相对于通用性更强的NPU,OPPO的DSA专注于解决芯片的影像能力,因此可以达到18 TOPS算力。苹果的A15芯片AI算力也仅为15.8 TOPS,可见OPPO达到了一个能效的里程碑。

关于OPPO的 MariSilicon X,其中一个关键词是DSA。这是一个这两年走热的名词。

DSA是Domain Specific Architecture(领域专用架构),这是两位计算机领域的大神--2017 年图灵奖获得者 John Hennessy 和 David Patterson 在 2019 年发表于 ACM 杂志上的文章《计算机架构的新黄金时代》中曾提出的概念:当摩尔定律不再适用之后,一种更加以硬件为中心的设计思路——针对特定问题和领域的架构 DSA 将会展现实力。这是一种特定领域的可编程处理器,针对特定类别的应用进行了定制。

这两位大神是RISC指令的发明人,David Patterson更是目前最热门的RISC-V指令的发明人,我们现在最熟悉的ARM架构就是基于RISC指令集。

他们认为回顾芯片50年的发展,目前传统的通用处理器演进已经遇到了瓶颈,即便是采用多核,提升的效益并不明显,所以针对应用领域做优化的DSA架构是未来的趋势。

DSA的优点在于,可以为特定的一类应用(注意不是一种应用,而是一类)做架构优化从而实现更好的能效比。相对于通用(general purpose)处理器,DSA需要设计时考虑专用领域的特殊需求,也需要设计者能对该领域有深入的理解。

DSA主要的应用案例就是为机器学习设计的神经网络处理器以及为图像和虚拟现实设计的GPU。DSA与专用集成电路(ASIC)也不同,后者通常用于单一功能,代码很少发生变化。DSA通常称为加 速器,因为与在通用CPU上执行整个应用程序相比,它们只会加速某些应用程序。此外,DSA可以实现更好的性能,因为它们更贴近应用程序的需求。

以MariSilicon X为例,采用DSA架构的这颗NPU就是为了提升影像类应用的人工智能处理器,通过AI芯片化的专芯专用,跨越传统硬件和AI算力鸿沟。

不过DSA要有好的效果必须要独立完成架构设计,如单指令多数据并行(SIMD)、更高效地内存层次结构等,这都需要设计团队在前期进行大量的论证和权衡。

了解定向自组装(DSA)

在讲定向自组装技术(DSA)之前,首先让我们重温下当前的芯片制造方法。

现在芯片通常通过光刻法制造。简言之,电路的每一个微小特征都被投射在硅片上,在此过程中,会在硅片上涂覆被称为光致抗蚀剂的光敏材料。之后,硅晶片将被置于不同图案之下,这些图案由光线穿透被称为掩模的滤光片形成。光线每照射到一处,光刻胶便会固化,其余部分则会被冲洗掉。在下一步骤中,会对晶圆进行化学蚀刻,由此在表面的裸露部分形成功能结构。光刻技术的进步一直是推动后续半导体节点前进的关键。随着芯片进入10nm以下,极紫外(EUV)技术必不可少,光刻变得过于复杂且成本高昂,一台EUV光刻机的成本超过了1亿美元。相对的,芯片的成本也随之水涨船高。

除了成本之外,传统的自上而下的光刻模式正日益受到感光材料对光反应的固有问题的挑战,如随机打印失败和线边/线宽粗糙度(LER/LWR)。而定向自组装(DSA)技术被认为是一种革新的、更具成本效益的制造方法,它是采用自下而上的模式。作为补充和进一步扩展基于光刻的模式的潜在途径。

定向自组装(DSA)使用自组装分子来打造计算机芯片的纳米级组件,与掩模定义图案的大多数光刻技术不同,它利用嵌段共聚物(BCP)形态来创建线条、空间和孔图案,有助于更准确地控制特征形状。

自组装是一种受自然启发的方法,它在大自然界中从脂质膜到细胞结构中随处可见。所谓自组装,指的就是各个组件自发组成规律的结构,这是组件之间特定局部交互作用的结果,而且他们组成的结构非常稳固。业界专家认为,如果这种方法可以用于大自然,那么同理也可能用于芯片产业。

但其实DSA也不是一项新技术,早在2000年行业就开始了初步开发,2007年,DSA被添加到ITRS路线图中,早期的支持者们认为DSA将进入14nm和7nm逻辑节点之间进行的商业生产,但是到目前为止,还没有实现。而现在,随着芯片工艺来到7nm,5nm,3nm,以及DSA 材料和加工的最新发展,DSA技术再度被看好。

DSA能够提供比半导体行业目前所要求的更高的分辨率,而且特征尺寸现在正接近DSA特别有效的水平。如果这些趋势持续下去,这项技术有望在本世纪末被广泛采用。

DSA先进光刻技术重回历史舞台?

定向自组装(DSA)并不是一项新技术,早在2007年它就作为潜在的光刻解决方案登上了旧的国际半导体技术路线图 (ITRS)。2010年左右,业界开始对自下而上图案化方法定向自组装(DSA)技术产生兴趣,甚至还引起了一番研究热潮。世界知名的代工厂如台积电、三星、英特尔、GlobalFoundries等都在自家实验室探索DSA,因为它有望解决先进光刻技术中的许多成本和复杂性问题。

但好景不长,随着业界的不断探索,他们发现这些材料容易出现缺陷。DSA材料的贴装精度也很难控制。因此,考虑到这些问题,芯片制造商便转向在晶圆厂中采用更熟悉的多重图案化技术,例如自对准双/四图案化 (SADP/SAQP)。而事实证明,没有一种光刻技术可以满足当前和未来的所有需求,SADP/SAQP也逐渐受到了挑战。因此,随着3nm到5nm光刻设备的讨论,DSA作为补充技术或将占有一席之地。

多位业内消息人士称,英特尔继续对DSA抱有浓厚的兴趣,而其他芯片制造商正在重新审视该技术。此外,一年一度的SPIE先进光刻会议,自2012年起就为嵌段共聚物DSA光刻技术设立了分论坛,供来自世界一流的企业、研究机构以及高校的相关研究者在一起进行分享、交流和讨论DSA光刻技术最新的进展与未来发展方向。由此可见,工业界对该技术高度重视并寄予厚望。

需要知道的是,DSA本身并不是一种工具技术,这是一种互补的图案化方法,可使用嵌段共聚物实现精细间距和预定义的图案。它是一种“自下而上”的光刻技术,而EUV光刻是“自上而下”。DSA能够突破传统光学光刻的衍射极限。

5nm之后,工具和技术的结合或将是产业关注的一个方向。将DSA光刻与传统的“自上而下”的EUV光刻相结合,可以提高现有光刻工艺(例如自对准四重图案化或 SAQP)的分辨率、修复图形缺陷和改善关键结构的特征尺寸均匀性,从而产生更高密度的半导体器件。此外,DSA光刻还有望能将芯片制程推进到3nm甚至更小的技术节点。

DSA的研究进展 #Human Progress

现在DSA正在取得显著进展,包括英特尔、IBM、三星等国际知名半导体企业以及IMEC、CEA-Leti 等研究机构以及开始针对DSA光刻技术开展了系统性的研究和产业化尝试,他们在工艺开发、整合、器件应用等方面为之努力。多个研究机构都建立了300mm晶圆DSA先导线,已经有大量的研究结果显示DSA光刻在300mm晶圆先导线上展示了优异的性能,,这也为DSA光刻技术走向工业化生产迈出了重要的一步。

DSA光刻技术能够取得快速的进步与嵌段共聚物材料的发展密切相关。目前,嵌段共聚物PS-b-PMMA已成为DSA领域的“黄金标准”,PS是非极性聚合物,而PMMA属于极性聚合物。它的最小周期为22nm,用于分子自组装的机理探究以及工艺摸索,PS-b-PMMA为DSA进入工业化生产提供了强有力的理论支持与技术指导。

2016年,台积电研究团队以柱状相PS-b-PMMA为材料,采用物理外延法DSA光刻技术制备了接触孔,并对接触孔的缺陷进行了深入研究。2019年,imec基于PS-b-PMMA嵌段共聚物的DSA,生成具有低且稳定的缺陷率(即桥和位错)的28 nm节距线/空间图案。

然而,PS-b-PMMA的χ值较小(χ为两种聚合物之间的弗洛里—哈金斯相互作用参数),无法满足当前集成电路制造中10nm及以下特征尺寸的需求。所以为了解决工艺节点的不断发展,如更先进的7nm/5nm/3nm等,学术界也聚焦于合成高χ值的嵌段共聚物,如PS-b-PPC、PS-b-P2VP、PS-b-P4VP、PS-b-PAA等。这些高χ值材料经微相分离后形成的图形特征尺寸均在10nm以下,可以很好地满足目前集成电路制造的需求。

在2021年的SPIE高级光刻会议上,imec 首次展示了定向自组装 (DSA) 的能力,使用高χ值嵌段共聚物材料制备了周期为18nm的线条光栅阵列结构,他们与TEL切合作开发的定制干法蚀刻化学物质允许将18 nm线/空间图案成功转移到足够深的 SiN 层中,以进行后续缺陷检查,而不会出现明显的线摆动或线塌陷。这些结果证实了DSA有潜力补充用于亚 2 纳米技术节点的工业制造的传统自上而下图案化。

在高χDSA 和随后蚀刻到目标 SiN 层后,18nm 线/空间图案的自上而下(左)和横截面(右)SEM 图像。来源:IMEC

“近年来,DSA 吸引了大量的工业兴趣,已经发展成为一个由大学、计量学家、材料和设备供应商组成的宝贵生态系统。我们的 DSA 生态系统是我们迄今为止取得的成果的关键,”imec的高级图案化工艺和材料副总裁Steven Scheer说。imec的DSA材料的合作伙伴包括德国的Merck、美国的Brewer Science、东京电子等等。

更重要的是,2021年TEL研究团队报道了基于嵌段共聚物DSA光刻技术对化学图案上的缺陷具有一定的修复能力。嵌段共聚物为有机材料,它具有一定的柔性与可压缩性,因此对化学图案上的缺陷存在一定的容忍度。

德国的默克在2015年就已经开始试产电子级纯度的DSA材料,为 DSA光刻技术从实验室走向工业化制造不懈努力。“这项革命性技术有望彻底改变半导体制造工艺,并将加快下一代构图应用的引入。”默克半导体解决方案全球负责人Anand Nambiar表示。2020年9月,默克在德国正式开设了新的电子应用研究中心,将致力于下一代显示和半导体材料的研发活动,其中半导体材料包括光刻胶材料、电介质和DSA材料。2021年4月,默克宣布投资2000万欧元将扩大其在日本的研发和制造基地,将建设新的基础设施,以推动和加速电子材料的创新,这个工厂所开发和制造的就包括DSA材料。

许多研究机构已经意识到DSA的优势,并希望将其应用于微电子制造中。目前,基于嵌段共聚物的DSA光刻技术已经被用于制造各种半导体器件,如鳍式场效应晶体管(FinFET)、存储器、位元图案介质和光子器件等。在SPIE上发表的一篇论文中,也指出了DSA应用于DRAM的可能性。

DSA工业化面临的挑战

DSA光刻技术应用于工业化主要分大两步,首先是采用“自上而下”的光刻工艺制备引导图形。然后,嵌段共聚物分子在制备的引导图形上进行自组装。目前进行自组装的研究已经颇多,此前一直困扰DSA光刻的缺陷问题也逐渐控制到半导体行业所能接受的范围。并已经在300mm晶圆DSA先导线上进行了实践,证明了其进入工业化的可行性。

问题主要在引导图形上,目前关于DSA图形化工艺的计算光刻以及EDA研究非常少,这是DSA光刻工业化中所面临的最大挑战。因为在实际芯片制造中,其版图非常复杂,并不是简单的规则图形。IBM研究团队提出在芯片制造中融入DSA工艺,开发一套计算光刻工具,实现设计工艺协同优化,形成材料、设备、工艺、计算光刻、仿真模拟和EDA的完整产业链,推动DSA光刻技术真正进入工业化生产。

当然,嵌段共聚物DSA光刻技术进入工业生产,还需对DSA工艺、材料以及与现有半导体产线的兼容性问题进行全面了解。工艺方面,需要选择合适的设备,优化工艺条件,以实现高通量制造;材料方面,要保证嵌段共聚物的批量化生产、电子级纯度以及稳定性。此外,还需采用先进的设备对缺陷进行检测和分析。

任何新技术在工业化的道路上都是漫长且崎岖的,EUV光刻技术也是经历几十多年的发展,DSA这项光刻技术无疑也将面临一些波折。不过DSA这项革新的自下而上的图形形成方法以及在成本上的节约,将继续支撑DSA研究者们的热情。

本文来源: 半导体行业观察,腾讯云小微AI助手,张国斌

免责声明:凡注明来源本网的所有作品,均为本网合法拥有版权或有权使用的作品,欢迎转载,注明出处本网。非本网作品均来自其他媒体,转载目的在于传递更多信息,并不代表本网赞同其观点和对其真实性负责。如您发现有任何侵权内容,请依照下方联系方式进行沟通,我们将第一时间进行处理。

0赞 好资讯,需要你的鼓励
来自:电子放大镜
0

参与评论

登录后参与讨论 0/1000

为你推荐

加载中...